무슨 코드 일까요? 정보
무슨 코드 일까요?
관련링크
http://uccyn.com
80회 연결
본문
library ieee;
use ieee.std_logic_1164.all;
entity hamming_decoder is
port( hamming_code : in std_logic_vector(6 downto 0);
data : out std_logic_vector(3 downto 0));
end hamming_decoder;
architectur Behavioral of hamming_decoder is
signal error_check : std_logic_vector(2 downto 0) := "000";
signal tmp_hamming_code : std_logic_vector(6 downto 0);
begin
error_check(0) <= ... xor ... xor ... xor ... ;
error_check(1) <= ... xor ... xor ... xor ... ;
error_check(2) <= ... xor ... xor ... xor ... ;
tmp_hamming_code <= hamming_code;
case error_check is
when "001" => tmp_hamming_code(0) <= not tmp_hamming_code(0);
when "010" => tmp_hamming_code(1) <= not tmp_hamming_code(1);
when "011" => tmp_hamming_code(2)
저의 누나 친구가 다이어리를 보여주면서 이걸 보여줬네요.
전 C 초보라 모르네요. 대학교 과정이라네요.
누가가 C언어라는데
댓글 전체
c는 아닌데요.. 그렇다고 베이직 같지도 않고
VHDL 이라는게 있나 봅니다. 회로 설계할 때 쓰는 것 같군요.
"VHDL(VHSIC Hardware Description Language)은 현재 IEEE Std. 1076-1987 및 IEEE Std. 1164-1993규격으로 정식 승인된 하드웨어 기술 언어이다." 라는군요.
"VHDL(VHSIC Hardware Description Language)은 현재 IEEE Std. 1076-1987 및 IEEE Std. 1164-1993규격으로 정식 승인된 하드웨어 기술 언어이다." 라는군요.
해밍코드 xor 이런거 나오는거보니..윗분 말씀대로 인듯...